The Chip War Between the USA and China

The Chip War Between the USA and China

The global semiconductor industry has become the epicenter of a strategic and technological battle between the United States and China. This ongoing chip war is not just about technological superiority but also about national security, economic power, and global supply chain dominance. At the heart of this conflict lies Extreme Ultraviolet (EUV) lithography, a crucial technology for producing chips, which is currently monopolized by ASML, a Dutch company. The U.S., leveraging its alliances with the Netherlands and Japan, has imposed severe export restrictions on China, blocking its access to advanced chip-making equipment.

China, despite facing these restrictions, has aggressively invested in domestic semiconductor manufacturing and chip packaging solutions. Companies like SMIC (Semiconductor Manufacturing International Corporation) and Huawei are striving to bridge the technological gap by developing alternatives to EUV lithography using Deep Ultraviolet (DUV) lithography. However, without EUV, China remains at a disadvantage in producing chips smaller than 7nm, essential for AI, high-performance computing, and advanced consumer electronics.

On the other side, the United States is ramping up domestic semiconductor production through initiatives like the CHIPS Act, investing billions to bring manufacturing back to American soil. TSMC, Samsung, and Intel are all setting up state-of-the-art fabrication plants (fabs) in the U.S., aiming to reduce dependence on Asian supply chains, particularly Taiwan, which is a critical player in global chip production.

This article explores the key aspects of the USA-China chip war, including the role of EUV lithography, the expansion of chip manufacturing in the U.S., China's efforts to develop homegrown semiconductor technology, and the broader geopolitical and economic implications of this technological arms race. The battle for semiconductor supremacy will shape the future of AI, defense, and global economic power, making it one of the most critical conflicts of the 21st century.

What is the role of EUV lithography in Chip Manufacturing

ASML’s Extreme Ultraviolet (EUV) lithography machines are pivotal in fabricating advanced semiconductor chips with features smaller than 4 nanometers, enabling higher performance and energy efficiency. 

EUV lithography machines pattern silicon wafers with extremely fine features, forming the foundation of semiconductor devices. After lithography, these patterned wafers undergo several processes:

Etching and Deposition: Selective material removal and addition to define circuit elements.

Doping: Introducing impurities to modify electrical properties.

Metallization: Creating interconnections between components.

Once these steps are completed, the wafers are diced into individual chips, which are then packaged to protect the circuitry and facilitate integration into electronic devices.

Raw Materials Used

The EUV lithography process relies on several key materials:

Photoresists: Light-sensitive chemicals that define circuit patterns upon exposure to EUV light.

Silicon Wafers: The substrate material onto which circuits are patterned.

Mask Blanks: Reflective masks with multilayer coatings that project circuit designs onto wafers.

Complexity of Replicating EUV Machines

Developing EUV lithography systems is an immensely complex endeavor. ASML invested over $6.3 billion in research and development over 17 years to bring these machines to market. This substantial investment underscores the high barriers to entry for potential competitors. 

Production and Export of EUV and DUV Machines (2019–2023)

Over the past five years, ASML has experienced significant growth in both EUV and Deep Ultraviolet (DUV) lithography system sales:

2023: Recognized revenue for 53 EUV systems and 396 DUV systems.

2022: Recognized revenue for 40 EUV systems and 305 DUV systems.

While specific annual sales data for 2019–2021 isn’t detailed here, ASML’s overall revenue grew from $13 billion in 2018 to $30 billion in 2023, indicating a steady increase in system sales. 

Export Destinations

ASML’s primary markets for these lithography systems include:

Taiwan: Leading with 30% of sales, reflecting the region’s robust semiconductor manufacturing industry.

China: China also has around 11% of sales, but in recent years, this has increased due to strategic stockpiling by Chinese foundries.

South Korea: Home to major memory chip manufacturers, representing a significant portion of ASML’s sales.

United States: Also a key market, with companies like Intel investing in advanced lithography equipment.

These figures highlight ASML’s critical role in advancing global semiconductor manufacturing capabilities through its EUV and DUV lithography systems.

 Role of TCMC and packaging

Taiwan Semiconductor Manufacturing Company (TSMC) plays a pivotal role in fabricating advanced semiconductor chips for major clients like Apple and Samsung, utilizing Extreme Ultraviolet (EUV) lithography and providing comprehensive packaging services.

EUV Lithography in Chip Fabrication

TSMC employs ASML’s EUV lithography machines to etch intricate patterns onto silicon wafers, enabling the production of chips with transistor sizes as small as 5 nanometers (nm) and beyond. This advancement allows for higher transistor density, enhancing performance and energy efficiency in devices. For instance, TSMC’s 5nm process, which incorporates EUV technology, has been utilized in manufacturing Apple’s A14 and A15 Bionic chips, as well as processors for other leading technology companies. 

Chip Packaging Services

After fabrication, semiconductor dies require packaging to protect them and facilitate integration into electronic devices. TSMC offers advanced packaging solutions, including:

Integrated Fan-Out (InFO): This wafer-level system integration technology features high-density redistribution layers and through-InFO vias, enhancing performance for applications like mobile devices and high-performance computing. 

Chip-on-Wafer-on-Substrate (CoWoS): This technology enables the integration of multiple chips into a single package, improving performance and reducing latency. NVIDIA’s latest AI chip, Blackwell, utilizes a sophisticated CoWoS-L (Large) technology, transitioning from the previously used CoWoS-S (Standard). 

End-to-End Chip Production Process at TSMC

TSMC’s end-to-end process for delivering final semiconductor products includes:

1. Design Collaboration: Working closely with clients to optimize chip designs for manufacturability and performance.

2. Wafer Fabrication: Utilizing advanced lithography techniques, including EUV, to pattern silicon wafers with the desired circuit designs.

3. Wafer Testing: Conducting electrical tests to identify functional dies and assess yield rates.

4. Dicing: Cutting the wafer into individual dies.

5. Packaging: Employing advanced packaging technologies like InFO and CoWoS to encase the dies, providing protection and enabling efficient electrical connections.

6. Final Testing: Performing comprehensive tests to ensure each packaged chip meets quality and performance standards.

7. Shipping: Delivering the finalized semiconductor products to clients for integration into consumer electronics, servers, and other applications.

By integrating cutting-edge lithography and packaging technologies, TSMC delivers high-performance, reliable semiconductor solutions to industry leaders such as Apple and Samsung.

TCMC - Top 5 companies in chip production

Taiwan Semiconductor Manufacturing Company (TSMC) serves as a pivotal partner for leading technology firms contributing 60% of total production worldwide, fabricating a diverse array of advanced semiconductor chips tailored to each client’s specific requirements. Below is an expanded overview of TSMC’s top customers, detailing the specific chips produced, the process nodes utilized, and the capabilities of these semiconductors:

1. Apple

Chips Produced:

A-Series Processors: A14 Bionic, A15 Bionic, A16 Bionic

M-Series Processors: M1, M1 Pro, M1 Max, M1 Ultra, M2

Process Nodes: 5nm (N5) and 3nm (N3)

Capabilities: These processors deliver high performance and energy efficiency, powering devices such as iPhones, iPads, and Mac computers. The transition to 3nm technology in newer chips enhances computational speed and reduces power consumption, supporting advanced functionalities like machine learning and augmented reality.

2. NVIDIA

Chips Produced:

Blackwell Series GPUs: B100, B200, GB200

Hopper Series GPUs: H100

Process Nodes: Custom 4nm (4NP)

Capabilities:

B100: Features a dual-die design with 104 billion transistors per die, totaling 208 billion transistors. It utilizes TSMC’s CoWoS-L (Chip-on-Wafer-on-Substrate Large) packaging technology, offering substantial improvements in AI and high-performance computing workloads.

B200: Designed for scalable AI applications, providing enhanced performance for data centers. 25% more speed and capability than B100.

B300: Just announced, commercially will be available early 2026, 50% more speed and capability then B200. However, using 1400W.

• GB200: Integrated into systems like the GB200 NVL72, combining 72 Blackwell GPUs and 36 Grace CPUs interconnected by fifth-generation NVLink, targeting compute-intensive workloads. 

3. AMD

• Chips Produced:

• Ryzen Series CPUs: Ryzen 5000, 6000, 7000 series

• EPYC Series CPUs: EPYC Milan, Genoa

• Radeon Series GPUs: Radeon RX 6000, 7000 series

• Process Nodes: 7nm (N7) and 5nm (N5)

• Capabilities: AMD’s processors and graphics cards offer high multi-core performance and energy efficiency, catering to applications ranging from consumer gaming to enterprise-level data processing.

4. Qualcomm

• Chips Produced:

• Snapdragon Processors: Snapdragon 888, 8 Gen 1, 8 Gen 2

• Process Nodes: 5nm (N5) and 4nm (N4)

• Capabilities: Snapdragon processors are renowned for robust performance and advanced connectivity features, including 5G support, AI processing capabilities, and enhanced graphics, powering a wide range of Android smartphones and tablets.

5. MediaTek

• Chips Produced:

• Dimensity Series SoCs: Dimensity 1200, 9000, 9200

• Process Nodes: 6nm (N6) and 4nm (N4)

Capabilities: MediaTek’s Dimensity series offers competitive performance and power efficiency, enabling advanced features such as high-refresh-rate displays, improved AI camera functions, and seamless 5G connectivity in mid-range to flagship smartphones.

These collaborations underscore TSMC’s integral role in advancing semiconductor technology, providing tailored solutions that drive innovation across various sectors, including consumer electronics, artificial intelligence, and high-performance computing.

Top 5 countries importing EUV machines.

ASML, the leading manufacturer of extreme ultraviolet (EUV) lithography machines essential for advanced semiconductor fabrication, has experienced significant sales growth in recent years. In 2023, ASML recognized revenue for 53 EUV systems, up from 40 systems in 2022. 

While ASML’s annual reports provide comprehensive financial data, they do not disclose specific sales percentages of EUV machines by individual countries. However, based on industry insights and market dynamics, the primary recipients of ASML’s EUV machines include:

1. Taiwan: Home to Taiwan Semiconductor Manufacturing Company (TSMC), the world’s largest contract chipmaker, Taiwan has been a significant recipient of ASML’s EUV systems. TSMC’s substantial investments in advanced lithography align with its leading position in semiconductor manufacturing.

2. South Korea: With major semiconductor manufacturers like Samsung Electronics and SK Hynix, South Korea has heavily invested in EUV technology for both memory and logic chip production. Samsung’s integration of EUV lithography in its manufacturing processes underscores South Korea’s significant role in ASML’s customer base.

3. China: Despite facing export restrictions on the most advanced EUV systems, China remains a notable market for ASML’s deep ultraviolet (DUV) lithography machines. Chinese semiconductor manufacturers continue to invest in lithography equipment to enhance their fabrication capabilities.

4. United States: American companies, including Intel Corporation, have invested in ASML’s EUV machines to advance their semiconductor fabrication capabilities. Intel’s collaboration with ASML highlights the strategic importance of EUV technology in maintaining technological leadership.

5. Japan: Japan’s semiconductor industry, with companies like Rapidus, has shown interest in adopting EUV lithography. Rapidus’s installation of ASML’s NXE:3800E EUV lithography machine in December 2024 marks a significant step in Japan’s efforts to revitalize its semiconductor manufacturing sector.

USA is expending capability to produce Chip in coming years.

Taiwan Semiconductor Manufacturing Company (TSMC) is expanding its manufacturing footprint in the United States with significant investments in Arizona.

Phoenix, Arizona Facilities

• First Fab (Fab 21 Phase 1): Located in Phoenix, this facility began producing 4-nanometer (nm) chips in early 2025.  The plant has a production capacity of over 20,000 wafers per month and represents TSMC’s commitment to advancing semiconductor manufacturing in the U.S. 

• Second Fab (Fab 21 Phase 2): TSMC is constructing a second facility in Phoenix, targeting the production of 2nm chips.  This expansion underscores TSMC’s dedication to maintaining technological leadership and supporting diverse customer needs.

Products and Objectives

The Arizona fabs aim to produce advanced semiconductor chips, including those for high-performance computing and artificial intelligence (AI) applications. This strategic move aligns with global efforts to diversify semiconductor manufacturing and strengthen supply chain resilience.

TSMC’s investment in Arizona signifies a pivotal step in bolstering U.S. semiconductor manufacturing capabilities, fostering innovation, and addressing the increasing demand for advanced chips across various industries.

Several companies in the United States have adopted Extreme Ultraviolet (EUV) lithography technology for semiconductor manufacturing. Here’s an overview of these companies, their locations, and their EUV-related activities:

1. Intel Corporation

Location: Hillsboro, Oregon

EUV Implementation: Intel has integrated ASML’s High Numerical Aperture (High NA) EUV lithography equipment into its D1X development fab in Oregon. This advanced tool enhances Intel’s capability to produce next-generation semiconductors with smaller feature sizes and improved performance. 

2. Micron Technology

Location: Boise, Idaho

EUV Implementation: Micron has incorporated EUV lithography into its semiconductor fabrication processes. The company utilizes ASML’s EUV machines to manufacture advanced memory chips, benefiting from the precision and efficiency that EUV technology offers.

3. IBM and Albany NanoTech Complex

Location: Albany, New York

EUV Implementation: In collaboration with New York State and other industry partners, IBM is establishing a High NA EUV Center at the Albany NanoTech Complex. This initiative includes acquiring ASML’s 5200 High NA EUV equipment, aiming to drive semiconductor innovations and maintain technological leadership. 

4. National Semiconductor Technology Center (NSTC)

Location: Albany, New York

EUV Implementation: The Albany NanoTech Complex has been selected as one of three national technology centers, receiving up to $825 million in funding to advance semiconductor research. The facility focuses on EUV lithography, housing state-of-the-art chip-making machinery and fostering collaboration between industry and academia. 

These developments underscore the strategic investments and advancements in EUV lithography within the United States, aiming to enhance domestic semiconductor manufacturing capabilities and maintain a competitive edge in the global technology landscape.

China is trying to catch up in developing EUV and Chip packaging

China has been actively pursuing the development of its own Extreme Ultraviolet (EUV) lithography technology to reduce reliance on foreign suppliers and advance its semiconductor manufacturing capabilities. Below is an overview of China’s initiatives, current progress, and projections for producing chips at 5 nm, 4 nm, and 2 nm nodes.

Development of Domestic EUV Lithography Machines

 Investment and Initiatives: China has committed approximately €37 billion to develop domestic EUV lithography systems, aiming to challenge the current monopoly held by companies like ASML. 

Technological Progress: A notable development is the testing of a domestic EUV system at Huawei’s Dongguan facility. This system utilizes laser-induced discharge plasma (LDP) technology for EUV light generation. Trial production is scheduled for the third quarter of 2025, with mass manufacturing targeted for 2026. 

SMEE’s Advancements: Shanghai Micro Electronics Equipment (SMEE), a key player in China’s lithography sector, has developed the SSA800-10W immersion lithography machine capable of fabricating 28 nm-process chips. While SMEE has filed patents related to EUV technology, a fully operational EUV lithography machine has yet to be realized. 

Current Semiconductor Manufacturing Capabilities

SMIC’s Achievements: Semiconductor Manufacturing International Corporation (SMIC), China’s leading foundry, commenced volume production of 14 nm FinFET chips in 2019. By 2021, SMIC had advanced to producing 7 nm chips, despite facing export restrictions on advanced equipment like EUV lithography machines. 

Technological Limitations: Analysts suggest that, in terms of advanced processes, 5-7 nm may represent the long-term technological ceiling for China’s semiconductor industry, with breakthroughs beyond this range being extremely challenging. 

Future Projections for 5 nm, 4 nm, and 2 nm Chip Production

5 nm Production: SMIC is on track to mass-produce logic chips equivalent in performance to the 5 nm process node, potentially by late 2024. These advancements are reportedly achieved using Deep Ultraviolet (DUV) immersion lithography machines, combined with techniques like self-aligned quadruple patterning (SAQP). 

4 nm and 2 nm Prospects: Achieving 4 nm and 2 nm production capabilities necessitates the successful development and deployment of domestic EUV lithography machines. Given the current timeline, with trial productions expected in 2025 and mass manufacturing in 2026, it is plausible that China could begin producing 4 nm chips in the latter half of the decade. However, reaching 2 nm production would require further technological advancements and is likely to occur beyond 2030.

Challenges and Considerations

Technological Hurdles: Developing EUV lithography machines is a complex endeavor, involving precise engineering and overcoming significant technical challenges.

Export Restrictions: Ongoing export controls from countries like the United States and the Netherlands limit China’s access to critical components and technologies necessary for advanced chip manufacturing, potentially slowing progress. 

Talent Acquisition: China’s aggressive recruitment of Western tech talent has raised concerns about intellectual property theft and national security in the U.S. and Europe, adding geopolitical complexities to its technological ambitions. 

In summary, while China has made notable strides in developing its semiconductor industry and is actively working towards establishing domestic EUV lithography capabilities, achieving mass production of chips at 5 nm, 4 nm, and 2 nm nodes will depend on overcoming significant technological and geopolitical challenges in the coming years.


Lewis Hartle

Maximize Semiconductor Yields. We solve the root causes of low yields including in situ temperature and power monitoring. Optimize Power and Performance. Optimal Design. Optimal Security.

1mo

Get your head out of your silicon! Semiconductors - PSSSST, Hey R&D scientists and engineers...let Optimal IC Technologies solve your low yields, in situ temperature, heat monitoring, and thermal dissipation challenges. optimalic.com

Manish Kumar (CISA, CISM, CRISC, CPISI, CEH, Cyber Nexus)

CISO | Cyber Defense in Banking Sectors Auditing, Cyber Security Strategies, PCIDSS, GRC, Digital Forensics, Cyber Crisis Management. Financial Regulations: RBI, MAS, BNM, HKMA, UK Prudential, AU Prudential, BoT.

1mo

Dear Mohammad Arif Very informative and detailed. Thanks for sharing your thoughts.

Manish Kumar (CISA, CISM, CRISC, CPISI, CEH, Cyber Nexus)

CISO | Cyber Defense in Banking Sectors Auditing, Cyber Security Strategies, PCIDSS, GRC, Digital Forensics, Cyber Crisis Management. Financial Regulations: RBI, MAS, BNM, HKMA, UK Prudential, AU Prudential, BoT.

1mo

Dear Mohammad Arif Very informative and detailed. Thanks for sharing you thoughts on this.

To view or add a comment, sign in

More articles by Mohammad Arif

Insights from the community

Others also viewed

Explore topics